본문 바로가기
임베디드.일렉트로닉스/EFM8

EFM8 포트 핀 출력하기, SYSCLK별 핀출력속도, 소비전류

by i.got.it 2015. 3. 29.



 

개요

 

 EFM8 의 특정포트 핀 1개로 출력하기.


 설명에서 사용되는 프로젝트 : http://igotit.tistory.com/230


요점.

1. 출력 핀 설정. - Configurator 이용

2. 코드에서 해당핀에 값 출력.

 

 

 

1. 출력핀 설정.

 

본 예에서 출력에 사용될 핀은 P1.1 이다. P1.1 을 출력으로 설정하기 위하여, 

 Configurator에서 P1.1 아래 그림처럼 IOMode 를 Digital Push-Pull output 으로 선택.


 



 

2, 핀 출력 코드 추가.

 

 프로젝트 EFM8GOT_main.c 에서 P1.1 에 출력하는 코드추가는 아래 그림의 붉은 색 박스부분. 

sbit 로 portp1_1 이 P1.1 이 되도록 선언하였고, while문내에서 portp1_1 을 이전 값을 반전시키는 출력을 내도록 하였다. 

while 루프 실행될때마다 교번식으로 1과 0이 P1.1 에 출력된다.

한편, sbit 선언과 동일 함수인 SI_SBIT() 를 사용하러면 si_toolchain.h 인클루드 하고 사용한다. 아래 그림의 파란박스 부분의 코드를 이용하면된다.



 


 

 

3. SYSCLK 별 출력 확인.

 

핀출력 주파수는 P1.1 을 스코프로 확인.

소비전류는 Simpiicity Studio에서 제공하는 Energy Profiler 이용.(http://igotit.tistory.com/230 의 단계3에 소개됨. )


MCU의 SYSCLK : 24.5MHz  로 설정한 경우. - 칩내장 High-Frequency Oscillator 이용.

핀 출력 주파수 : 2.45MHz 였다. 

소비전류 : 5.64mA  


MCU의 SYSCLK : 2.5MHz 로 설정한 경우. - 칩내장 Low-Power Oscillator 이용.

핀 출력 주파수 : 247kHz 

소비전류 : 1.2mA


MCU의 SYSCLK : 19.531kHz로 설정한 경우. - 칩내장 LowPower Oscillator 이용.

핀 출력 주파수 : 1.92kHz

소비전류 : 0.7mA


 


 

 본 글이 포함된 상위 정리장소 : http://igotit.tistory.com/244

 


///233.


댓글



 

비트코인




암호화폐       외환/나스닥/골드       암호화폐/외환/나스닥/골드 암호화폐/외환/나스닥/골드   암호화폐/외환/나스닥/골드
     
현물 |선물 인버스 |선물 USDT       전략매니저(카피트레이딩)     롤오버 이자 없는 스왑프리계좌
( 스왑프리 암호화폐도 거래 가능 )    
MT4, MT5 , cTrader 모두 지원     FTMO 계좌 매매운용. MT4,MT5